期刊论文详细信息
Cryptography
Improving Performance and Mitigating Fault Attacks Using Value Prediction
Sheikh, Rami1 
关键词: modern microprocessors;    value prediction;    performance;    fault attack;    fault mitigation;   
DOI  :  10.3390/cryptography2040027
学科分类:工程和技术(综合)
来源: mdpi
PDF
【 摘 要 】

We present Value Prediction for Security (VPsec), a novel hardware-only framework to counter fault attacks in modern microprocessors, while preserving the performance benefits of Value Prediction (VP.) VP is an elegant and hitherto mature microarchitectural performance optimization, which aims to predict the data value ahead of the data production with high prediction accuracy and coverage. Instances of VPsec leverage the state-of-the-art Value Predictors in an embodiment and system design to mitigate fault attacks in modern microprocessors. Specifically, VPsec implementations re-architect any baseline VP embodiment with fault detection logic and reaction logic to mitigate fault attacks to both the datapath and the value predictor itself. VPsec also defines a new mode of execution in which the predicted value is trusted rather than the produced value. From a microarchitectural design perspective, VPsec requires minimal hardware changes (negligible area and complexity impact) with respect to a baseline that supports VP, it has no software overheads (no increase in memory footprint or execution time), and it retains most of the performance benefits of VP under realistic attacks. Our evaluation of VPsec demonstrates its efficacy in countering fault attacks, as well as its ability to retain the performance benefits of VP on cryptographic workloads, such as OpenSSL, and non-cryptographic workloads, such as SPEC CPU 2006/2017.

【 授权许可】

CC BY   

【 预 览 】
附件列表
Files Size Format View
RO201904023428229ZK.pdf 2098KB PDF download
  文献评价指标  
  下载次数:14次 浏览次数:19次