学位论文详细信息
Opportunistic power reassignment between processor and memory in 3D stacks
3D die-stacking;Power management;Processor;Memory;Low-power;Energy efficiency;Mobile processors;Voltage regulation;Computer architecture
Skarlatos, Dimitrios State ; Torrellas ; Josep
关键词: 3D die-stacking;    Power management;    Processor;    Memory;    Low-power;    Energy efficiency;    Mobile processors;    Voltage regulation;    Computer architecture;   
Others  :  https://www.ideals.illinois.edu/bitstream/handle/2142/95609/SKARLATOS-THESIS-2016.pdf?sequence=1&isAllowed=y
美国|英语
来源: The Illinois Digital Environment for Access to Learning and Scholarship
PDF
【 摘 要 】
The pin count largely determines the cost of a chip package, which is often comparable to the cost of a die. In 3D processor-memory designs, power and ground (P/G) pins can account for the majority of the pins. This is because packages include separate pins for the disjoint processor and memory power delivery networks (PDNs). Supporting separate PDNs and P/G pins for processor and memory is inefficient, as each set has to be provisioned for the worst-case power delivery requirements.In this thesis, we propose to reduce the number of P/G pins of both processor and memory in a 3D design, and dynamically and opportunistically divert some power between the two PDNs on demand. To perform the power transfer, we use a small bidirectional on-chip voltage regulator that connects the two PDNs. Our concept, called Snatch, is effective. It allows the computer to execute code sections with high processor or memory power requirements without having to throttle performance. We evaluate Snatch with simulations of an 8-core multicore stacked with two memory dies. In a set of compute-intensive codes, the processor snatches memory power for 30% of the time on average, speeding-up the codes by up to 23% over advanced turbo-boosting; in memory-intensive codes, the memory snatches processor power. Alternatively, Snatch can reduce the package cost by about 30%.
【 预 览 】
附件列表
Files Size Format View
Opportunistic power reassignment between processor and memory in 3D stacks 625KB PDF download
  文献评价指标  
  下载次数:12次 浏览次数:40次