科技报告详细信息
Power Management of Datacenter Workloads Using Per- Core Power Gating
Leverich, Jacob ; Monchiero, Matteo ; Talwar, Vanish ; Ranganathan, Partha ; Kozyrakis, Christos
HP Development Company
关键词: power;    multicore;    datacenter;    architecture;   
RP-ID  :  HPL-2009-326
学科分类:计算机科学(综合)
美国|英语
来源: HP Labs
PDF
【 摘 要 】
While modern processors offer a wide spectrum of software-controlled power modes, most datacenters only rely on Dynamic Voltage and Frequency Scaling (DVFS, a.k.a. P-states) to achieve energy efficiency. This paper argues that, in the case of datacenter workloads, DVFS is not the only option for processor power management. We make the case for per-core power gating (PCPG) as an additional power management knob for multi-core processors. PCPG is the ability to cut the voltage supply to selected cores, thus reducing to almost zero the leakage power for the gated cores. Using a testbed based on a commercial 4-core chip and a set of real-world application traces from enterprise environments, we have evaluated the potential of PCPG. We show that PCPG can significantly reduce a processor's energy consumption (up to 40%) without significant performance overheads. When compared to DVFS, PCPG is highly effective saving up to 30% more energy than DVFS. When DVFS and PCPG operate together they can save up to almost 60%.
【 预 览 】
附件列表
Files Size Format View
RO201804100002528LZ 172KB PDF download
  文献评价指标  
  下载次数:16次 浏览次数:67次