期刊论文详细信息
International Journal of Physical Sciences
Leakage power reduction techniques of 45 nm static random access memory (SRAM) cells
Manish Dev Singh1 
关键词: Bit line floating;    body biasing;    Dynamic VDD;    low power design;    negative word line;    source biasing;    static random access memory (SRAM).;   
DOI  :  10.5897/IJPS11.1202
学科分类:物理(综合)
来源: Academic Journals
PDF
【 摘 要 】

As the technology scales down to 90 nm and below, static random access memory (SRAM) standby leakage power is becoming one of the most critical concerns for low power applications. In this article, we review three major leakage current components of SRAM cells and also discuss some of the leakage current reduction techniques including body biasing, source biasing, dynamicVDD, negative word line, and bit line floating schemes. All of them are achieved by controlling different terminal voltages of the SRAM cell in standby mode. On the other hand, performance loss occurs simultaneously with leakage saving. To validate the effectiveness of low power techniques, the leakage current, static noise margin, and read current of SRAM cells, based on the UMC 45 nm complementary metal–oxide–semiconductor (CMOS) process with leakage current reduction techniques has been simulated. The results indicate that by using the dynamicVDDand source biasing schemes, greater leakage suppressing capability, although with a higher performance loss, can be obtained. Therefore, the SRAM cell optimization scheme must consider the trade-off between power consumption and speed performance.

【 授权许可】

CC BY   

【 预 览 】
附件列表
Files Size Format View
RO201902018853923ZK.pdf 527KB PDF download
  文献评价指标  
  下载次数:21次 浏览次数:11次