学位论文详细信息
Coupled Chip-to-Chip Interconnect Design
High Speed Link;Transmitter;Capacitive Coupling;Pulse Signaling;Pulse Receiver;Flip Chip;Low Power;Interconnect;Packaging;Serial Link;Transceiver;Receiver;Chip-to-chip communications;AC Coupled Interconnect
Luo, Lei ; Paul D. Franzon, Committee Chair,John M. Wilson, Committee Co-Chair,Michael B. Steer, Committee Member,W. Rhett Davis, Committee Member,Jon-Paul Maria, Committee Member,Luo, Lei ; Paul D. Franzon ; Committee Chair ; John M. Wilson ; Committee Co-Chair ; Michael B. Steer ; Committee Member ; W. Rhett Davis ; Committee Member ; Jon-Paul Maria ; Committee Member
University:North Carolina State University
关键词: High Speed Link;    Transmitter;    Capacitive Coupling;    Pulse Signaling;    Pulse Receiver;    Flip Chip;    Low Power;    Interconnect;    Packaging;    Serial Link;    Transceiver;    Receiver;    Chip-to-chip communications;    AC Coupled Interconnect;   
Others  :  https://repository.lib.ncsu.edu/bitstream/handle/1840.16/5720/etd.pdf?sequence=1&isAllowed=y
美国|英语
来源: null
PDF
【 摘 要 】

In modern high performance VLSI chips high bandwidth and high throughput are becoming increasingly important. Multi-Tb⁄s throughput is the current trend of high performance VLSI chips. This trend demands high speed, high density and low power I⁄Os.AC coupled interconnect (ACCI) has been demonstrated as a systematic approach to provide higher pin density, smaller transceiver design and lower power dissipation for high speed chip-to-chip communications. ACCI utilizes non-contact capacitor plates as signal pins which yields a much higher pin density than traditional solder bump pins. The coupling capacitors provide passive equalization, thus eliminating the need for costly traditional active equalization. This saves both power and area associated with the equalization circuitry used in a traditional transceiver. ACCI also saves significant power on the transmitter by using pulse signaling instead of traditional non-return-to-zero (NRZ) signaling The pulse receiver is one of the most important designs in ACCI. The pulse receiver is used at receiver front end to recover the NRZ signal from the small pulse signal. A complementary low swing pulse receiver was designed to allow greater attenuation and to accommodate smaller coupling capacitors and longer transmission lines (T-Lines). A test chip with a complete capacitively coupled serial link was designed; including random data generator, multi-phase DLL, serializer, transmitter, pulse receiver, clock and data recovery (CDR), deserializer and bit error rate (BER) tester. ACCI chip-to-chip communication was demonstrated through two 150fF coupling capacitors and a single end terminated 15 cm microstrip line on a FR4 board at 3Gb⁄s.A differential pulse receiver is proposed for ACCI bus. The design and measurements of the proposed 36Gb⁄s receiver which operated over the 6-bit wide ACCI bus were reported. Signal integrity issues associated with the ACCI bus, such as crosstalk and switching noise, are discussed. Simulation results demonstrated that a higher data rate over ACCI channel can be achieved with more advanced CMOS technologies.

【 预 览 】
附件列表
Files Size Format View
Coupled Chip-to-Chip Interconnect Design 35166KB PDF download
  文献评价指标  
  下载次数:8次 浏览次数:28次