期刊论文详细信息
Electronics
Optimizing Power Heterogeneous Functional Units for Dynamic and Static Power Reduction
Toshinori Sato1  Yoshimi Shibata1 
关键词: power consumption;    leakage power;    out-of-order processors;    functional units;   
DOI  :  10.3390/electronics3040661
来源: mdpi
PDF
【 摘 要 】

Power consumption is the major constraint for modern microprocessor designs. In particular, static power consumption becomes a serious problem as the transistor size shrinks via semiconductor technology improvement. This paper proposes a technique that reduces the static power consumed by functional units. It exploits the activity rate of functional units and utilizes the power heterogeneous functional units. From detailed simulations, we investigate the conditions in which the proposed technique works effectively for simultaneous dynamic and static power reduction and find that we can reduce the total power by 11.2% if two out of four leaky functional units are replaced by leakless ones in the situation where the static power occupies half of the total power.

【 授权许可】

CC BY   
© 2014 by the authors; licensee MDPI, Basel, Switzerland.

【 预 览 】
附件列表
Files Size Format View
RO202003190018637ZK.pdf 364KB PDF download
  文献评价指标  
  下载次数:9次 浏览次数:18次